DEC-P8-MW1A-PB 11/7/70 PS/8 DEC CONFIG (RK8) DEC Copyright November 1970